adding Makefile

Original commit message from CVS:
adding Makefile
This commit is contained in:
Thomas Vander Stichele 2001-12-21 12:35:18 +00:00
parent d48e19cb1a
commit e4848fa40a

5
gst-libs/Makefile.am Normal file
View file

@ -0,0 +1,5 @@
SUBDIRS = audio
# riff getbits putbits idct bytestream control resample
DIST_SUBDIRS = audio
# riff getbits putbits idct bytestream control resample