minor cleanup

Original commit message from CVS:
minor cleanup
This commit is contained in:
Johan Dahlin 2004-05-24 10:15:39 +00:00
parent 45c2cf641d
commit 06761336fe
2 changed files with 6 additions and 6 deletions

2
common

@ -1 +1 @@
Subproject commit 95ba8839c03a7f8939a2ae4b0586b012e929fc84
Subproject commit 85747ad7d18dfc697845a457631f3877fda46906

View file

@ -21,11 +21,14 @@
# Author: David I. Lehn <dlehn@users.sourceforge.net>
#
import pygtk
pygtk.require('2.0')
import sys
import time
import gobject
import gst
import gtk
import gst
class BPS(object):
def __init__(self):
@ -87,15 +90,12 @@ class BPS(object):
pass
elif method == 'c':
self.start = time.time()
self.iter_id = gst.add_iterate_bin(self.pipeline)
gobject.idle_add(self.pipeline.iterate)
gst.main()
#elif method == 'gst':
# self.start = time.time()
# gtk.idle_add(self.idle, self.pipeline)
# gtk.main()
elif method == 'all':
self.start = time.time()
iterate_bin_all(self.pipeline)
self.pipeline.set_state(gst.STATE_NULL)